Multipoint Detection Technique with the Best Clock Signal Closed-Loop Feedback to Prolong FPGA Performance
Abstract
:1. Introduction
2. Lifetime Reliability Sensing in FPGAs
Lifetime Reliability at the Transistor Level
3. The Design Process for an Aging Sensor with Multiple Points of Frequency Detection
3.1. Aging Detection Process
3.2. Proposed Automatic Clock Correction
4. Comparison of Multiple Types of Delay Frequency
5. Experimental Validation
6. Conclusions
Author Contributions
Funding
Institutional Review Board Statement
Informed Consent Statement
Data Availability Statement
Acknowledgments
Conflicts of Interest
References
- Shamani, F.; Airoldi, R.; Ahonen, T.; Nurmi, J. FPGA implementation of a flexible synchronizer for cognitive radio applications. Conf. Des. Archit. Signal Image Process. DASIP 2015, 2015, 1–15. [Google Scholar] [CrossRef]
- Krasniewski, A. Evaluation of delay fault testability of LUT functions for improved efficiency of FPGA testing. Proc. Euromicro Symp. Digit. Syst. Des. 2001. [Google Scholar] [CrossRef]
- Lin, J.Y.; Chen, D.C.D.; Cong, J. Optimal simultaneous mapping and clustering for FPGA delay optimization. In Proceedings of the 43rd annual Design Automation, San Francisco, CA, USA, 24–28 July 2006; pp. 472–477. [Google Scholar] [CrossRef]
- Seng, K.P.; Lee, P.J.; Ang, L.M. Embedded intelligence on fpga: Survey, applications and challenges. Electronics 2021, 10, 895. [Google Scholar] [CrossRef]
- Fischer, T.; Amirante, E.; Huber, P.; Hofmann, K.; Ostermayr, M.; Schmitt-Landsiedel, D. A 65 nm test structure for SRAM device variability and NBTI statistics. Solid. State Electron. 2009, 53, 773–778. [Google Scholar] [CrossRef]
- Ghaderi, Z.; Ebrahimi, M.; Navabi, Z.; Bozorgzadeh, E.; Bagherzadeh, N. SENSIBle: A highly scalable sensor design for path-based age monitoring in FPGAs. IEEE Trans. Comput. 2017, 66, 919–926. [Google Scholar] [CrossRef]
- Tambara, L.A.; Kastensmidt, F.L.; Rech, P.; Frost, C. Decreasing FIT with diverse triple modular redundancy in SRAM-based FPGAs. In Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, Amsterdam, The Netherlands, 1–3 October 2014; Volume 9, pp. 153–158. [Google Scholar] [CrossRef]
- Khaleghi, B.; Omidi, B.; Amrouch, H.; Henkel, J.; Asadi, H. Stress-aware routing to mitigate aging effects in SRAM-based FPGAs. In Proceedings of the FPL 2016 26th International Conference on Field Programmable Logic and Applications, Laussane, Switzerland, 29 August–2 September 2016. [Google Scholar] [CrossRef]
- Lee, K.; Wong, S.S. Fault-tolerant FPGA with column-based redundancy and power gating using RRAM. IEEE Trans. Comput. 2016, 2016, 409–414. [Google Scholar] [CrossRef]
- Wang, H.; Zhang, M.; Liu, Y. High-resolution digital-to-time converter implemented in an FPGA chip. Appl. Sci. 2017, 7, 52. [Google Scholar] [CrossRef] [Green Version]
- Srinivasan, S.; Mangalagiri, P.; Sarpatawi, K.; Xie, Y.; Vijaykrishnan, N. FLAW: FPGA Lifetime Awareness. In Proceedings of the 43rd ACM/IEEE Design Automation Conference, San Francisco, CA, USA, 24–28 July 2006; pp. 630–635. [Google Scholar]
- Maadi, M.; Bayram, B. Custom integrated circuit design for ultrasonic therapeutic CMUT array. Microsyst. Technol. 2015, 21, 875–891. [Google Scholar] [CrossRef]
- Bauer, L.; Braun, C.; Imhof, M.E.; Kochte, M.A.; Schneider, E.; Zhang, H.; Henkel, J.; Wunderlich, H.J. Test strategies for reliable runtime reconfigurable architectures. IEEE Trans. Comput. 2013, 62, 1494–1507. [Google Scholar] [CrossRef]
- Manohararajah, V.; Chiu, G.R.; Singh, D.P.; Brown, S.D. Predicting interconnect delay for physical synthesis in a FPGA CAD flow. IEEE Trans. Very Large Scale Integr. Syst. 2007, 15, 895–903. [Google Scholar] [CrossRef] [Green Version]
- Jaafar, A.; Soin, N.; Hatta, S.W.M. An educational FPGA design process flow using Xilinx ISE 13.3 project navigator for students. In Proceedings of the 2017 IEEE 13th International Colloquium on Signal Processing & Its Applications (CSPA), Penang, Malaysia, 10–12 March 2017. [Google Scholar] [CrossRef]
- Stott, E.; Cheung, P.; Sedcole, P. Fault tolerance and reliability in field-programmable gate arrays. IET Comput. Digit. Tech. 2010, 4, 196–210. [Google Scholar] [CrossRef]
- Zhang, H.; Bauer, L.; Kochte, M.A.; Schneider, E.; Wunderlich, H.-J.; Henkel, J. Aging resilience and fault tolerance in runtime reconfigurable architectures. IEEE Trans. Comput. 2017, 66, 957–970. [Google Scholar] [CrossRef]
- Moras, M.; Martin-Martinez, J.; Velayudhan, V.; Rodriguez, R.; Nafria, M.; Aymerich, X.; Simoen, E. Negative bias temperature instabilities in pMOSFETS: Ultrafast characterization and modelling. In Proceedings of the 2015 10th Spanish Conference on Electron Devices (CDE), Madrid, Spain, 11–13 February 2015. [Google Scholar] [CrossRef]
- Marquez-Viloria, D.; Castano-Londono, L.; Guerrero-Gonzalez, N. A modified knn algorithm for high-performance computing on fpga of real-time m-qam demodulators. Electronics 2021, 10, 627. [Google Scholar] [CrossRef]
- Chakravarthi, S.; Krishnan, A.T.; Reddy, V.; Machala, C.F.; Krishnan, S. A comprehensive framework for predictive modeling of negative bias temperature instability. In Proceedings of the 2004 IEEE International Reliability Physics Symposium, Phoenix, AZ, USA, 25–19 April 2004; pp. 273–282. [Google Scholar]
- Tu, R.H.; Rosenbaum, E.; Chan, W.Y.; Li, C.C.; Minami, E.; Quader, K.; Ko, P.K.; Hu, C. Berkeley Reliability Tools-BERT. IEEE Trans. Comput. Des. Integr. Circuits Syst. 1993, 12, 1524–1534. [Google Scholar] [CrossRef]
- Alnajjar, D.; Konoura, H.; Ko, Y.; Mitsuyama, Y.; Hashimoto, M.; Onoye, T. Implementing flexible reliability in a coarse-grained reconfigurable architecture. IEEE Trans. Very Large Scale Integr. Syst. 2013, 21, 2165–2178. [Google Scholar] [CrossRef] [Green Version]
- Cho, M.; Roussel, P.; Kaczer, B.; Degraeve, R.; Franco, J.; Aoulaiche, M.; Chiarella, T.; Kauerauf, T.; Horiguchi, N.; Groeseneken, G. Channel hot carrier degradation mechanism in long/short channel n-FinFETs. IEEE Trans. Electron. Devices 2013, 60, 4002–4007. [Google Scholar] [CrossRef]
- Cha, S.; Liu, T.; Milor, L. Negative bias temperature instability and gate oxide breakdown modeling in circuits with die-to-die calibration through power supply and ground signal measurements. IEEE Trans. Very Large Scale Integr. Syst. 2017, 25, 2271–2284. [Google Scholar] [CrossRef]
- Kumar, S.V.; Kim, C.H.; Sapatnekar, S.S. An analytical model for negative bias temperature instability. Int. Conf. Comput. Aided Des. 2006, 493–496. Available online: http://ieeexplore.ieee.org/xpls/abs_all.jsp?arnumber=4110220 (accessed on 19 April 2014). [CrossRef] [Green Version]
- Leong, C.; Semião, J.; Teixeira, I.C.; Santos, M.B.; Teixeira, J.P.; Valdes, M.; Freijedo, J.; Rodríguez-Andina, J.J.; Vargas, F. Aging monitoring with local sensors in FPGA-based designs. In Proceedings of the 23rd International Conference on Field programmable Logic and Applications, Porto, Portugal, 2–4 September 2013. [Google Scholar] [CrossRef]
- Amouri, A.; Hepp, J.; Tahoori, M. Built-in self-heating thermal testing of FPGAS. IEEE Trans. Comput. Des. Integr. Circuits Syst. 2016, 35, 1546–1556. [Google Scholar] [CrossRef]
- Tahoori, M.B.; Kiamehr, S.; Firouzi, F.; Ebrahimi, M. Extending standard cell library for aging mitigation. IET Comput. Digit. Tech. 2015, 9, 206–212. [Google Scholar] [CrossRef]
- Chan, T.B.; Chan, W.T.J.; Kahng, A.B. On aging-aware signoff for circuits with adaptive voltage scaling. IEEE Trans. Circuits Syst. I Regul. Pap. 2014, 61, 2920–2930. [Google Scholar] [CrossRef] [Green Version]
- Shah, S.; Yadav, A.P.; Beohar, N.; Vishvakarma, A. Process variations tolerant subthreshold Darlington pair based NBTI sensor circuit. IET Comput. Digit. Tech. 2018, 13, 243–249. [Google Scholar] [CrossRef]
- Valdes-Pena, M. Design and validation of configurable online aging sensors in nanometer-scale FPGAs. IEEE Trans. Nanotechnol. 2013, 12, 508–517. [Google Scholar] [CrossRef]
- Ebrahimi, M.; Navabi, Z. Selecting Representative critical paths for sensor placement provides early FPGA aging information. IEEE Trans. Comput. Des. Integr. Circuits Syst. 2019, 39, 2976–2989. [Google Scholar] [CrossRef]
- Sai, G.; Zwolinski, M.; Halak, B. A cost-efficient aging sensor based on multiple paths delay fault monitoring. In Ageing of Integrated Circuits; Springer: Cham, Switzerland, 2020. [Google Scholar]
- Christoph, R.; Andreas, A.; Happe, M.; Plessl, C. Exploration of ring oscillator design space for temperature measurements on FPGAs. In Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), Oslo, Norway, 29–31 August 2012; pp. 559–562. Available online: http://ieeexplore.ieee.org/xpl/mostRecentIssue.jsp?punumber=6330714 (accessed on 16 January 2016).
- Shafiee, N.; Tewari, S.; Calhoun, B.; Shrivastava, A. Infrastructure circuits for lifetime improvement of ultra-low power IoT devices. IEEE Trans. Circuits Syst. I Regul. Pap. 2017, 64, 2598–2610. [Google Scholar] [CrossRef]
- Suh, J.; Member, S.; Suda, N.; Member, S.; Xu, C.; Hakim, N. Programmable analog device array (PANDA): A methodology for transistor-level analog emulation. IEEE Trans. Circuits Syst. I Regul. Pap. 2013, 60, 1369–1380. [Google Scholar] [CrossRef] [Green Version]
- Cao, Y.K. Predictive Technology Model. 2017. Available online: http://ptm.asu.edu/ (accessed on 30 July 2017).
- Mentor Graphics Corporation. Eldo® Platform User’s Manual; Mentor Graphics Corporation: Wilsonville, OR, USA, 2017. [Google Scholar]
- Fang, J.; Sapatnekar, S.S. Incorporating hot-carrier injection effects into timing analysis for large circuits. IEEE Trans. Very Large Scale Integr. Syst. 2014, 22, 2738–2751. [Google Scholar] [CrossRef]
- Zhang, H.; Liu, C.; Wang, T.; Zhang, H.; Zeng, C. Analysis of hot carrier and NBTI induced device degradation on CMOS ring oscillator. In Proceedings of the 3rd International Conference on Consumer Electronics, Communications and Networks, Xianning, China, 20–22 November 2013; pp. 141–144. [Google Scholar] [CrossRef]
- Kim, Y.; Shim, H.; Jin, M.; Bae, J.; Liu, C.; Pae, S. Investigation of HCI effects in FinFET based ring oscillator circuits and IP Blocks. In Proceedings of the IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 2–6 April 2017; Volume 2131, pp. 2–5. [Google Scholar] [CrossRef]
- Singh, P.; Karl, E.; Sylvester, D.; Blaauw, D. Dynamic NBTI management using a 45 nm multi-degradation sensor degradation in ICs. IEEE Trans. Circuits Syst. I Regul. Pap. 2010, 1, 1–49. [Google Scholar]
- Wang, W. Circuit Aging in Scaled CMOS Design Modeling Simulation and Prediction; Arizona State University: Phoenix, AZ, USA, 2008. [Google Scholar]
- Hussin, H.; Soin, N.; Bukhori, M.F.; Wahab, Y.A.; Shahabuddin, S. New simulation method to characterize the recoverable component of dynamic negative-bias temperature instability in p-channel metal-oxide-semiconductor field-effect transistors. J. Electron. Mater. 2014, 43, 1207–1213. [Google Scholar] [CrossRef]
- Alimin, A.F.M.; Radzi, A.A.M.; Sazali, N.A.F.; Hatta, S.F.W.M.; Soin, N.; Hussin, H. Influence of design and process parameters of 32-nm advanced-process high-kp-MOSFETs on negative-bias temperature instability and study of defects. J. Electron. Mater. 2017, 46, 5942–5949. [Google Scholar] [CrossRef]
- Sengupta, D.; Sapatnekar, S. Estimating circuit aging due to BTI and HCI using ring-oscillator-based sensors. IEEE Trans. Comput. Des. Integr. Circuits Syst. 2017, 36, 1688–1701. [Google Scholar] [CrossRef]
- Hyams, D.G. CurveExpert software. 2010. Available online: http://www.curveexpert.net/ (accessed on 3 November 2017).
- Schlunder, C.; Aresu, S.; Georgakos, G. HCI vs. BTI? Neither one’s out. In Proceedings of the IEEE International Reliability Physics Symposium (IRPS), Anaheim, CA, USA, 15–19 April 2012; pp. 1–6. [Google Scholar]
- Bravaix, A.; Guerin, C.; Huard, V.; Roy, D.; Roux, J.M.; Vincent, E. Hot-carrier acceleration factors for low power management in DC-AC stressed 40 nm NMOS node at high temperature. In Proceedings of the IEEE International Reliability Physics Symposium, Montreal, QC, Canada, 26–30 April 2009; pp. 531–548. [Google Scholar]
- Liu, C.Q.; Cao, Y.; Chang, C.H. ACRO-PUF: A Low-power, reliable and aging-resilient current starved inverter-based ring oscillator physical unclonable function. IEEE Trans. Circuits Syst. I Regul. Pap. 2017, 64, 3138–3149. [Google Scholar] [CrossRef]
- Kohno, T.; Broido, A.; Claffy, K.C. Remote physical device fingerprinting. IEEE Trans. Dependable Secur. Comput. 2005, 2, 93–108. [Google Scholar] [CrossRef] [Green Version]
- Murdoch, S. Hot or not: Revealing hidden services by their clock skew. In Proceedings of the 13th ACM Conference on Computer and Communications Security 2006, Alexandria, VA, USA, 30 October–3 November 2006; pp. 27–36. [Google Scholar]
- Xilinx Corporation. LogiCORE IP Clocking Wizard; Version 3.2; Xilinx Corporation: San Jose, CA, USA, 2011. [Google Scholar]
- Floyd, L.; O’Reilly, M.; Mathewson, A. Delay simulation comparisons between A1/SiO2 and Cu/BCB multilevel interconnect. Microelectron. Eng. 1997, 33, 415–422. [Google Scholar] [CrossRef]
- Steinlesberger, G.; Traving, M.; Engelhardt, M. Scaling of parasitics and delay times in the backend-of-line. Microelectron. Eng. 2003, 70, 7–12. [Google Scholar]
- Batarseh, M.G.; Al-Hoor, W.; Huang, L.; Iannello, C.; Batarseh, I. Window-masked segmented digital clock manager-FPGA-based digital pulsewidth modulator technique. IEEE Trans. Power Electron. 2009, 24, 2649–2660. [Google Scholar] [CrossRef]
- Anwar, T.; Lala, P.K.; Parkerson, J.P. A novel FPGA Architecture with built-in error correction. Instrum. Meas. Technol. Conf. 2007, 1–4. [Google Scholar] [CrossRef]
- Zenzinger, A.; Bartusch, T.; Kuehl, C.; Fischer, S.; Shrestha, A. Failure detection and correction for clock ensemble in space. In Proceedings of the 6th ESA Workshop on Satellite Navigation Technologies (Navitec 2012) & European Workshop on GNSS Signals and Signal Processing, Noordwijk, The Netherlands, 5–7 December 2012; pp. 1–8. [Google Scholar] [CrossRef]
- Qiao, F.; He, Y.; Ai, L.; Zhang, G.; Zhang, X. A comprehensive NBTI degradation model based on ring oscillator circuit. In Proceedings of the 12th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), Guilin, China, 28–31 October 2014; Volume 2, pp. 1–3. [Google Scholar]
- Luo, Y.H.; Nayak, D.; Lee, J.; Gitlin, D.; Tsai, C.T. Reliability of strain-Si FPGA product fabricated by novel ultimate spacer process. In Proceedings of the IEEE International Integrated Reliability Workshop Final Report, South Lake Tahoe, CA, USA, 16 October–19 September 2006; pp. 175–178. [Google Scholar] [CrossRef]
- Sideris, I.; Pekmestzi, K. A column parity based fault detection mechanism for FIFO buffers. Integr. VLSI J. 2013, 46, 265–279. [Google Scholar] [CrossRef]
- Jaafar, A.; Soin, N.; Hatta, S.W.M.; Md, S.S.I. Delay performance due to thermal variation on field-programmable gate array via the adoption of a stable ring oscillator. IET Comput. Digit. Tech. 2019, 1–9. [Google Scholar] [CrossRef]
- Sheng, M.; Rose, J. Mixing buffers and pass transistors in FPGA routing architectures. In Proceedings of the 2001 ACM/SIGDA Ninth International Symposium on Field Programmable Gate Arrays; Association for Computing Machinery: New York, NY, USA, 2001; pp. 75–84. [Google Scholar] [CrossRef] [Green Version]
- Altera Corporation. Guaranteeing Silicon Performance with FPGA Timing Models. Altera Doc. 2010. Available online: https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/wp/wp-01139-timing-model.pdf (accessed on 28 January 2021).
- Xilinx Corporation. Xilinx Reliability Report; Xilinx Corporation: San Jose, CA, USA, 2015. [Google Scholar]
- Intel Corporation. Reliability Report 58. 2014. Available online: https://www.intel.co.jp/content/www/jp/ja/programmable/documentation/reliability-report.html?wapkw=reliability%20report (accessed on 18 May 2015).
- Lattice Semiconductor. Lattice Products Reliability Report. 2013. Available online: https://www.latticesemi.com/-/media/LatticeSemi/Documents/QualityAssurance/OZ/Product-Reliability-Monitor-Report.ashx?document_id=50307 (accessed on 18 May 2015).
Sample Vi Phase with Delay θ1 | θ2 Values for Different Types of Clock Delay | Hex Value | ||||||
---|---|---|---|---|---|---|---|---|
0° | 50° | 100° | 150° | 200° | 250° | 300° | ||
220° | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 3C |
270° | 0 | 1 | 1 | 1 | 1 | 1 | 0 | 3E |
Phase Delay Range (°) | Output of Aging Detection | Hex Value | ||||||
---|---|---|---|---|---|---|---|---|
{6} | {5} | {4} | {3} | {2} | {1} | {0} | ||
1–50 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 00 |
51–100 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 20 |
* 101–150 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | 30 |
* 151–200 | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 38 |
201–250 | 0 | 1 | 1 | 1 | 1 | 0 | 0 | 3C |
251–300 | 0 | 1 | 1 | 1 | 1 | 1 | 0 | 3E |
301–359 | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 3F |
Phase Delay | Percentage of Delay | Remaining Lifetime (Months) | ||
---|---|---|---|---|
Xilinx | Altera | Lattice Semi | ||
0 | 0% | 80 | 36 | 24 |
50 | 27.78% | 58 | 26 | 17 |
100 | 41.67% | 47 | 21 | 14 |
150 | 55.56% | 36 | 16 | 10 |
200 | 69.44% | 24 | 11 | 7 |
250 | 83.33% | 13 | 6 | 4 |
300 | 100% | 0 | 0 | 0 |
Input of the DIP Switch | Output | ||||||||
---|---|---|---|---|---|---|---|---|---|
SW1 | SW2 | SW8 | LED6 | LED5 | LED4 | LED3 | LED2 | LED1 | LED0 |
Vi | enable | rst | out_as[6] | out_as[5] | out_as[4] | out_as[3] | out_as[2] | out_as[1] | out_as[0] |
Hex Output | Percentage Hit |
---|---|
00 | 11.30% |
20 | 13.00% |
30 | 7.80% |
38 | 25.20% |
3C | 15.70% |
3E | 13.00% |
3F | 13.90% |
Total | 100.00% |
Device under Test | Sensor Location | The Complexity of the Design | Automatic Clock Correction Scheme | Types of Frequency Detection | Reference |
---|---|---|---|---|---|
Spartan-6 45 nm (simulation only) | Local sensor | Complicated design | Not available | The detection process only detects whether the circuit is aging without a specific detection range | [26] |
Virtex-6 40 nm | Local sensor | Simple | Not available | [27] | |
Spartan 3AN 90 nm | Local sensor | Complicated design | Not available | [31] | |
Virtex-6 40 nm | Local sensor | Simple | Automatically | Multiple points of detection that identified the specific range of the aging condition | This work |
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations. |
© 2021 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (https://creativecommons.org/licenses/by/4.0/).
Share and Cite
Jaafar, A.; Soin, N.; Wan Muhamad Hatta, S.F.; Salim, S.I.; Zakaria, Z. Multipoint Detection Technique with the Best Clock Signal Closed-Loop Feedback to Prolong FPGA Performance. Appl. Sci. 2021, 11, 6417. https://doi.org/10.3390/app11146417
Jaafar A, Soin N, Wan Muhamad Hatta SF, Salim SI, Zakaria Z. Multipoint Detection Technique with the Best Clock Signal Closed-Loop Feedback to Prolong FPGA Performance. Applied Sciences. 2021; 11(14):6417. https://doi.org/10.3390/app11146417
Chicago/Turabian StyleJaafar, Anuar, Norhayati Soin, Sharifah F. Wan Muhamad Hatta, Sani Irwan Salim, and Zahriladha Zakaria. 2021. "Multipoint Detection Technique with the Best Clock Signal Closed-Loop Feedback to Prolong FPGA Performance" Applied Sciences 11, no. 14: 6417. https://doi.org/10.3390/app11146417
APA StyleJaafar, A., Soin, N., Wan Muhamad Hatta, S. F., Salim, S. I., & Zakaria, Z. (2021). Multipoint Detection Technique with the Best Clock Signal Closed-Loop Feedback to Prolong FPGA Performance. Applied Sciences, 11(14), 6417. https://doi.org/10.3390/app11146417