On-Line Temperature Estimation for Noisy Thermal Sensors Using a Smoothing Filter-Based Kalman Predictor
Abstract
:1. Introduction
- The noise characteristics of on-chip thermal sensors based on the ring oscillator structure are systematically analyzed. On this basis, the polynomial fitting technique is used to establish the non-linear relationship between sensor temperature and oscillation frequency, which can improve the measurement accuracy.
- To tackle the challenge in temperature estimation of noisy thermal sensors, a smoothing filter-based Kalman prediction technique is proposed to correct the temperatures of on-die sensors in real-time.
- For the multi-sensor estimation scenario, the spatial correlations among different sensor locations are exploited. On this basis, a multi-sensor synergistic calibration algorithm (called MSSCA) is proposed to improve the simultaneous prediction accuracy of multiple sensors.
- Relative to the previous works relied on computer-based thermal simulation scheme, an infrared imaging-based temperature measurement technique is proposed to provide the accurate thermal characterizations of an AMD quad-core processor operating on different benchmarks. The captured real temperature data are used to evaluate our prediction approach.
2. Analysis of Noisy Sensor Behavior
3. Temperature Estimation for Noisy Thermal Sensors
- Step 1: Establish the non-linear relationship between sensor temperature and output frequency using the polynomial fitting technique, and then calculate the temperature observation values of noisy sensors.Based on Equations (1)–(4), we use the mean values of random variables specified in Table 1 to generate the observed data of output frequencies by varying the sensor temperature. The reference temperature is set to 25 C. The actual temperature data is acquired by our infrared temperature measurement setup (described later in Section 4). Using the observed data, the non-linear relationship between sensor temperature and output frequency can be established by the polynomial fitting. The fitting result is shown in Figure 5.
- Step 2: Establish the temperature prediction model using the smoothing filter, and calculate the temperature prediction values of noisy sensors.
- Step 3: Correct the temperatures of noisy sensors using the Kalman filter.
- Step 4: Establish the spatial correlation model, and update the temperature observation values using the multi-sensor synergistic calibration algorithm (MSSCA).
- Step 5: Reuse the Kalman filter to calculate the optimal multi-sensor temperature estimations.
3.1. Smoothing Filter-Based Kalman Prediction Technique
3.2. Multi-Sensor Synergistic Calibration Algorithm (MSSCA)
- Compute the correlation coefficients (, for and ) of sensor m with all the other sensors, and pick out the largest one (), i.e., sensor m has the strongest correlation with sensor n.
- Set the correlation threshold . If , the temperature measurement of sensor m will not be updated, i.e., ; otherwise, the temperature observation of sensor m can be corrected as:
- Perform steps 1–2 in the residual sensors until the temperature observation of each sensor has been completed in the calibration, and then update the corresponding measurement vector to .
- Calculate the optimal temperature predictions using the following equation:
Algorithm 1 Multi-Sensor Synergistic Calibration Algorithm (MSSCA) | |
1. | Initialize: |
2. | Compute the coefficient matrix according to Equation (12) |
3. | Remove the autocorrelation by , and store in memory |
4. | maxc, and maxl |
5. | for to M do |
6. | for to M do |
7. | if then |
8. | , and |
9. | end if |
10. | end for |
11. | end for |
12. | for to K do |
13. | |
14. | for to do |
15. | |
16. | end for |
17. | |
18. | |
19. | |
20. | |
21. | |
22. | for to M do |
23. | if then |
24. | else if then |
25. | |
26. | else if then |
27. | |
28. | else |
29. | end if |
30. | end for |
31. | |
32. | end for |
4. Infrared Imaging-Based Temperature Measurement Technique
5. Experimental Results
6. Conclusions
Acknowledgments
Author Contributions
Conflicts of Interest
References
- Mirtar, A.; Dey, S.; Raghunathan, A. Joint Work and Voltage/Frequency Scaling for Quality-Optimized Dynamic Thermal Management. IEEE Trans. Very Larg. Scale Integr. (VLSI) Syst. 2015, 23, 1017–1030. [Google Scholar] [CrossRef]
- Teravainen, S.; Haghbayan, M.-H.; Rahmani, A.-M.; Liljeberg, P.; Tenhunen, H. Software-Based On-Chip Thermal Sensor Calibration for DVFS-enabled Many-core Systems. In Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS), Amherst, MA, USA, 12–14 October 2015; pp. 35–40. [Google Scholar]
- Mahfuzul Islam, A.K.M.; Shiomi, J.; Ishihara, T.; Onodera, H. Wide-Supply-Range All-Digital Leakage Variation Sensor for On-Chip Process and Temperature Monitoring. IEEE J. Solid-State Circuits 2015, 50, 2475–2490. [Google Scholar] [CrossRef]
- Shi, B.; Zhang, Y.; Srivastava, A. Dynamic Thermal Management under Soft Thermal Constraints. IEEE Trans. Very Larg. Scale Integr. (VLSI) Syst. 2013, 21, 2045–2054. [Google Scholar] [CrossRef]
- Chen, K.; Chang, E.; Li, H.; Wu, A. RC-Based Temperature Prediction Scheme for Proactive Dynamic Thermal Management in Throttle-Based 3D NoCs. IEEE Trans. Parallel Distrib. Syst. 2015, 26, 206–218. [Google Scholar] [CrossRef]
- Shafique, M.; Gnad, D.; Garg, S.; Henkel, J. Variability-Aware Dark Silicon Management in On-Chip Many-Core Systems. In Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE), Grenoble, France, 9–13 March 2015; pp. 387–392. [Google Scholar]
- Gnad, D.; Shafique, M.; Kriebel, F.; Rehman, S.; Sun, D.; Henkel, J. Hayat: Harnessing Dark Silicon and Variability for Aging Deceleration and Balancing. In Proceedings of the 52nd Design Automation Conference (DAC), San Francisco, CA, USA, 8–12 June 2015; pp. 1–6. [Google Scholar]
- Khdr, H.; Pagani, S.; Shafique, M.; Henkel, J. Thermal Constrained Resource Management for Mixed ILP-TLP Workloads in Dark Silicon Chips. In Proceedings of the 52nd Design Automation Conference (DAC), San Francisco, CA, USA, 8–12 June 2015; pp. 1–6. [Google Scholar]
- Khdr, H.; Pagani, S.; Sousa, E.; Lari, V.; Pathania, A.; Hannig, F.; Shafique, M.; Teich, J.; Henkel, J. Power Density-Aware Resource Management for Heterogeneous Tiled Multicores. IEEE Trans. Comput. 2017, 66, 488–501. [Google Scholar] [CrossRef]
- McGowen, R.; Poirier, C.A.; Bostak, C.; Ignowski, J.; Millican, M.; Parks, W.H.; Naffziger, S. Power and Temperature Control on a 90-nm Itanium Family Processor. IEEE J. Solid-State Circuits 2006, 41, 229–237. [Google Scholar] [CrossRef]
- Nakajima, M.; Kondo, H.; Okumura, N.; Masui, N.; Takata, Y.; Nasu, T.; Takata, H.; Higuchi, T.; Sakugawa, M.; Yoneda, H.; et al. Design of a Multi-Core SoC with Configurable Heterogeneous 9 CPUs and 2 Matrix Processors. In Proceedings of the IEEE Symposium on VLSI Circuits, Kyoto, Japan, 14–16 June 2007; pp. 14–15. [Google Scholar]
- Duarte, D.E.; Geannopoulos, G.; Mughal, U.; Wong, K.L.; Taylor, G. Temperature Sensor Design in a High Volume Manufacturing 65 nm CMOS Digital Process. In Proceedings of the IEEE Custom Integrated Circuits Conference (CICC ’07), San Jose, CA, USA, 16–19 September 2007; pp. 221–224. [Google Scholar]
- Sakran, N.; Yuffe, M.; Mehalel, M.; Doweck, J.; Knoll, E.; Kovacs, A. The Implementation of the 65 nm Dual-Core 64b Merom Processor. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC 2007) Digest of Technical Papers, San Francisco, CA, USA, 11–15 February 2007; pp. 106–107. [Google Scholar]
- Dorsey, J.; Searles, S.; Ciraula, M.; Johnson, S.; Bujanos, N.; Wu, D.; Braganza, M.; Meyers, S.; Fang, E.; Kumar, R. An Integrated Quad-Core Opteron Processor. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC 2007) Digest of Technical Papers, San Francisco, CA, USA, 11–15 February 2007; pp. 102–103. [Google Scholar]
- Floyd, M.S.; Ghiasi, S.; Keller, T.W.; Rajamani, K.; Rawson, F.L.; Rubio, J.C.; Ware, M.S. System power management support in the IBM POWER6 microprocessor. IBM J. Res. Dev. 2007, 51, 733–746. [Google Scholar] [CrossRef]
- Saneyoshi, E.; Nose, K.; Kajita, M.; Mizuno, M. A 1.1 V 35 μm × 35 μm thermal sensor with supply voltage sensitivity of 2 °C/10%-supply for thermal management on the SX-9 supercomputer. In Proceedings of the IEEE Symposium on VLSI Circuits, Honolulu, HI, USA, 18–20 June 2008; pp. 152–153. [Google Scholar]
- Kumar, R.; Hinton, G. A Family of 45 nm IA Processors. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC 2009) Digest of Technical Papers, San Francisco, CA, USA, 8–12 February 2009; pp. 58–59. [Google Scholar]
- Kuppuswamy, R.; Sawant, S.R.; Balasubramanian, S.; Kaushik, P.; Natarajan, N.; Gilbert, J.D. Over One Million TPCC with a 45 nm 6-Core Xeon® CPU. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC 2009) Digest of Technical Papers, San Francisco, CA, USA, 8–12 February 2009; pp. 70–71. [Google Scholar]
- Floyd, M.; Allen-Ware, M.; Rajamani, K.; Brock, B.; Lefurgy, C.; Drake, A.J.; Pesantez, L.; Gloekler, T.; Tierno, J.A.; Bose, P.; et al. Introducing the Adaptive Energy Management Features of the Power7 Chip. IEEE Micro 2011, 31, 60–75. [Google Scholar] [CrossRef]
- Dighe, S.; Gupta, S.; De, V.; Vangal, S.; Borkar, N.; Borkar, S.; Roy, K. A 45 nm 48-core IA processor with Variation-Aware Scheduling and Optimal Core Mapping. In Proceedings of the IEEE Symposium on VLSI Circuits (VLSIC), Honolulu, HI, USA, 15–17 June 2011; pp. 250–251. [Google Scholar]
- Fluhr, E.J.; Friedrich, J.; Dreps, D.; Zyuban, V.; Still, G.; Gonzalez, C.; Hall, A.; Hogenmiller, D.; Malgioglio, F.; Nett, R.; et al. 5.1 POWER8TM: A 12-Core Server-Class Processor in 22 nm SOI with 7.6 Tb/s Off-Chip Bandwidth. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC 2014) Digest of Technical Papers, San Francisco, CA, USA, 9–13 February 2014; pp. 96–97. [Google Scholar]
- Remarsu, S.; Kundu, S. On process variation tolerant low cost thermal sensor design in 32 nm CMOS technology. In Proceedings of the ACM Great Lakes Symposium on VLSI, Boston Area, MA, USA, 10–12 May 2009; pp. 487–492. [Google Scholar]
- Yun, B.; Shin, K.G.; Wang, S. Predicting Thermal Behavior for Temperature Management in Time-Critical Multicore Systems. In Proceedings of the Real-Time and Embedded Technology and Applications Symposium (RTAS), Philadelphia, PA, USA, 9–11 April 2013; pp. 185–194. [Google Scholar]
- Beneventi, F.; Bartolini, A.; Tilli, A.; Benini, L. An Effective Gray-Box Identification Procedure for Multicore Thermal Modeling. IEEE Trans. Comput. 2014, 63, 1097–1110. [Google Scholar]
- Pagani, S.; Chen, J.-J.; Shafique, M.; Henkel, J. MatEx: Efficient Transient and Peak Temperature Computation for Compact Thermal Models. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition (DATE), Grenoble, France, 9–13 March 2015; pp. 1515–1520. [Google Scholar]
- Nowroz, A.N.; Cochran, R.; Reda, S. Thermal Monitoring of Real Processors: Techniques for Sensor Allocation and Full Characterization. In Proceedings of the 47th Design Automation Conference (DAC), Anaheim, CA, USA, 13–18 June 2010; pp. 56–61. [Google Scholar]
- Reda, S.; Dev, K.; Belouchrani, A. Blind Identification of Thermal Models and Power Sources from Thermal Measurements. IEEE Sens. J. 2018, 18, 680–691. [Google Scholar] [CrossRef]
- Zhang, Y.; Srivastava, A. Accurate Temperature Estimation Using Noisy Thermal Sensors for Gaussian and Non-Gaussian Cases. IEEE Trans. Very Larg. Scale Integr. (VLSI) Syst. 2011, 19, 1617–1626. [Google Scholar] [CrossRef]
- Lu, S.; Tessier, R.; Burleson, W. Dynamic On-Chip Thermal Sensor Calibration Using Performance Counters. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2014, 33, 853–866. [Google Scholar] [CrossRef]
- Fu, Y.; Li, L.; Wang, K.; Zhang, C. Kalman Predictor-Based Proactive Dynamic Thermal Management for 3D NoC Systems with Noisy Thermal Sensors. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2017, 36, 1869–1882. [Google Scholar] [CrossRef]
- Sharifi, S.; Liu, C.; Rosing, T.S. Accurate Temperature Estimation for Efficient Thermal Management. In Proceedings of the International Symposium on Quality Electronic Design (ISQED), San Jose, CA, USA, 17–19 March 2008; pp. 137–142. [Google Scholar]
- Sharifi, S.; Rosing, T.S. Accurate Direct and Indirect On-Chip Temperature Sensing for Efficient Dynamic Thermal Management. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2010, 29, 1586–1599. [Google Scholar] [CrossRef]
- Lefebvre, C.A.; Rubio, L.; Montero, J.L. Digital Thermal Sensor Based on Ring-Oscillators in Zynq SoC Technology. In Proceedings of the International Workshop on Thermal Investigations of ICs and Systems (THERMINIC), Budapest, Hungary, 21–23 September 2016; pp. 276–278. [Google Scholar]
- Datta, B.; Burleson, W. Low-Power and Robust On-Chip Thermal Sensing Using Differential Ring Oscillators. In Proceedings of the 50th Midwest Symposium on Circuits and Systems (MWSCAS), Montreal, QC, Canada, 5–8 August 2007; pp. 29–32. [Google Scholar]
- Rosinha, J.B.; de Almeida, S.J.M.; Bermudez, J.C.M. A New Kernel Kalman Filter Algorithm for Estimating Time-Varying Nonlinear Systems. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), Baltimore, MD, USA, 28–31 May 2017; pp. 1–4. [Google Scholar]
- Einicke, G.A. Smoothing, Filtering and Prediction-Estimating The Past, Present and Future; InTech: Rijeka, Croatia, 2012. [Google Scholar]
- Fu, Y.; Li, L.; Pan, H.; Wang, K.; Han, F.; Lin, J. Accurate Runtime Thermal Prediction Scheme for 3D NoC Systems with Noisy Thermal Sensors. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), Montreal, QC, Canada, 22–25 May 2016; pp. 1198–1201. [Google Scholar]
- Friedberg, P.; Cao, Y.; Cain, J.; Wang, R.; Rabaey, J.; Spanos, C. Modeling Within-Die Spatial Correlation Effects for Process-Design Co-Optimization. In Proceedings of the Sixth International Symposium on Quality of Electronic Design (ISQED), San Jose, CA, USA, 21–23 March 2005; pp. 516–521. [Google Scholar]
- Hargreaves, B.; Hult, H.; Reda, S. Within-die Process Variations: How Accurately Can They Be Statistically Modeled? In Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC), Seoul, Korea, 21–24 March 2008; pp. 524–530. [Google Scholar]
- Xiong, J.; Zolotov, V.; He, L. Robust Extraction of Spatial Correlation. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2007, 26, 619–631. [Google Scholar] [CrossRef]
- Brooks, D.; Tiwari, V.; Martonosi, M. Wattch: A Framework for Architectural-Level Power Analysis and Optimizations. In Proceedings of the 27th International Symposium on Computer Architecture, Vancouver, BC, Canada, 19 May 2000; pp. 83–94. [Google Scholar]
- Huang, W.; Ghosh, S.; Velusamy, S.; Sankaranarayanan, K.; Skadron, K.; Stan, M.R. HotSpot: A Compact Thermal Modeling Methodology for Early-Stage VLSI Design. IEEE Trans. Very Larg. Scale Integr. (VLSI) Syst. 2006, 14, 501–513. [Google Scholar] [CrossRef]
- Reda, S.; Cochran, R.; Nowroz, A.N. Improved Thermal Tracking for Processors Using Hard and Soft Sensor Allocation Techniques. IEEE Trans. Comput. 2011, 60, 841–851. [Google Scholar] [CrossRef]
- Ardestani, E.K.; Mesa-Martínez, F.J.; Renau, J. Cooling Solutions for Processor Infrared Thermography. In Proceedings of the 26th Annual IEEE Semiconductor Thermal Measurement and Management Symposium, Santa Clara, CA, USA, 21–25 February 2010; pp. 187–190. [Google Scholar]
- Ardestani, E.K.; Mesa-Martínez, F.J.; Southern, G.; Ebrahimi, E.; Renau, J. Sampling in Thermal Simulation of Processors: Measurement, Characterization, and Evaluation. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2013, 32, 1187–1200. [Google Scholar] [CrossRef]
- Zou, Q.; Yue, J.; Segee, B.; Zhu, Y. Temporal Characterization of SPEC CPU2006 Workloads: Analysis and Synthesis. In Proceedings of the IEEE International Performance Computing and Communications Conference (IPCCC), Austin, TX, USA, 1–3 December 2012; pp. 11–20. [Google Scholar]
- Dev, K.; Nowroz, A.N.; Reda, S. Power Mapping and Modeling of Multi-core Processors. In Proceedings of the IEEE International Symposium on Low Power Electronics and Design (ISLPED), Beijing, China, 4–6 September 2013; pp. 39–44. [Google Scholar]
- Li, X.; Jiang, W.; Zhou, W. Optimising thermal sensor placement and thermal maps reconstruction for microprocessors using simulated annealing algorithm based on PCA. IET Circuits Devices Syst. 2016, 10, 463–472. [Google Scholar] [CrossRef]
Parameters | (nm) | (nm) | (nm) | (v) | (v) | m2/(V·s) |
---|---|---|---|---|---|---|
Mean | 270 | 180 | 4.1 | 3 | 0.45 | 0.034 |
Standard deviation | 5% | 6% | 3% | 5% | 4% | 2% |
Correlation | P1 | P2 | P3 |
---|---|---|---|
P1 | 1 | 0.8991 | 0.7134 |
P2 | 0.8991 | 1 | 0.8808 |
P3 | 0.7134 | 0.8808 | 1 |
Standard Deviation | Sensor ID | RMSE () | SNR (dB) | ||||
---|---|---|---|---|---|---|---|
Sensor Readings | Kalman Filter | MSSCA | Sensor Readings | Kalman Filter | MSSCA | ||
5% | P1 | 0.6757 | 0.2006 | 0.1690 | −3.6697 | 6.8931 | 8.3156 |
P2 | 0.6687 | 0.1913 | 0.1612 | −4.1133 | 6.7305 | 8.2271 | |
P3 | 0.6734 | 0.1952 | 0.1651 | −3.6588 | 7.0747 | 8.4353 | |
10% | P1 | 1.3571 | 0.2776 | 0.2309 | −9.7271 | 4.0771 | 5.6569 |
P2 | 1.3767 | 0.2672 | 0.2193 | −10.2051 | 3.8401 | 5.5996 | |
P3 | 1.3527 | 0.2751 | 0.2301 | −9.7174 | 4.1676 | 5.6734 |
Standard Deviation | Sensor ID | RMSE () | SNR (dB) |
---|---|---|---|
MSSCA vs. Kalman Filter | MSSCA vs. Kalman Filter | ||
5% | P1 | −15.75% | +20.64% |
P2 | −15.73% | +22.24% | |
P3 | −15.42% | +19.23% | |
10% | P1 | −16.82% | +38.75% |
P2 | −17.93% | +45.82% | |
P3 | −16.36% | +36.13% |
Standard Deviation | Sensor ID | FAR (%) | ||
---|---|---|---|---|
Sensor Readings | Kalman Filter | MSSCA | ||
5% | P1 | 28.7542 | 9.9867 | 7.1483 |
P2 | 27.3608 | 10.4633 | 7.68583 | |
P3 | 28.0150 | 9.8583 | 7.08583 | |
10% | P1 | 37.8958 | 13.6108 | 9.2775 |
P2 | 36.9525 | 14.2808 | 10.1975 | |
P3 | 37.2767 | 12.6075 | 8.8508 |
© 2018 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (http://creativecommons.org/licenses/by/4.0/).
Share and Cite
Li, X.; Ou, X.; Li, Z.; Wei, H.; Zhou, W.; Duan, Z. On-Line Temperature Estimation for Noisy Thermal Sensors Using a Smoothing Filter-Based Kalman Predictor. Sensors 2018, 18, 433. https://doi.org/10.3390/s18020433
Li X, Ou X, Li Z, Wei H, Zhou W, Duan Z. On-Line Temperature Estimation for Noisy Thermal Sensors Using a Smoothing Filter-Based Kalman Predictor. Sensors. 2018; 18(2):433. https://doi.org/10.3390/s18020433
Chicago/Turabian StyleLi, Xin, Xingtao Ou, Zhi Li, Henglu Wei, Wei Zhou, and Zhemin Duan. 2018. "On-Line Temperature Estimation for Noisy Thermal Sensors Using a Smoothing Filter-Based Kalman Predictor" Sensors 18, no. 2: 433. https://doi.org/10.3390/s18020433
APA StyleLi, X., Ou, X., Li, Z., Wei, H., Zhou, W., & Duan, Z. (2018). On-Line Temperature Estimation for Noisy Thermal Sensors Using a Smoothing Filter-Based Kalman Predictor. Sensors, 18(2), 433. https://doi.org/10.3390/s18020433