A Review of Reliability in Gate-All-Around Nanosheet Devices
Abstract
:1. Introduction
2. Structural Features of Nanosheet Architecture and Their Effects on Reliability
2.1. Conduction Surface Orientation
2.2. Si Channel Geometry
2.2.1. Impact of Tsi on Reliability and Corner Field Crowding Effect
2.2.2. Impact of Wsheet on Reliability
2.3. Gate-All-Around Architecture
2.4. Inner Spacer for Gate and Source/Drain Isolation
2.5. Summary
3. Transistor Reliability Mechanisms in Gate-All-Around Nanosheets
3.1. BTI Reliability in NS Devices
3.1.1. PBTI Reliability in NS nFETs
3.1.2. NBTI Reliability in NS pFETs
3.2. HCI Reliability
3.3. Gate Oxide TDDB
3.4. MOL TDDB
- A stack of SiGe and Si layers are epitaxially grown on the Si substrate.
- NS Fin revealed after Fin and STI formation.
- Dummy gate formation and inner spacer and junction formation.
- Dummy gate pull and sacrificial SiGe channel in between Si sheets are etched out.
- Si channel trimming to ensure final SiO2 thickness is closer to original Si thickness.
- Complete channel oxidation to avoid impact from gate oxide TDDB.
- HKMG formation.
3.5. Summary
4. Reliability Challenges in NS FETs and Gaps for Future Learning
5. Conclusions
Funding
Data Availability Statement
Acknowledgments
Conflicts of Interest
Abbreviations
GAA | Gate-all-around |
NS | Nanosheet |
FET | Field effect transistor |
BTI | Bias temperature instability |
HCI | Hot-carrier injection |
Gox | Gate oxide |
TDDB | Time-dependent dielectric breakdown |
MOL | Middle-of-line |
Si-H | Silicon-Hydrogen |
HCD | Hot-carrier-degradation |
PBTI | Positive bias temperature instability |
NBTI | Negative bias temperature instability |
PC | Poly control gate |
CA | Diffusion contact |
References
- Lee, S.-Y.; Kim, S.-M.; Yoon, E.-J.; Oh, C.-W.; Chung, I.; Park, D.; Kim, K. A novel multibridge-channel MOSFET (MBCFET): Fabrication technologies and characteristics. IEEE Trans. Nanotechnol. 2003, 2, 253–257. [Google Scholar]
- Lee, S.-Y.; Kim, S.-M.; Yoon, E.-J.; Oh, C.-W.; Chung, I.; Park, D.; Kim, K. Three-dimensional MBCFET as an ultimate transistor. IEEE Electron. Device Lett. 2004, 25, 217–219. [Google Scholar] [CrossRef]
- Bae, G.; Bae, B.-I.; Kang, M.; Hwang, S.M.; Kim, S.S.; Seo, B.; Kwon, T.Y.; Lee, T.J.; Moon, C.; Choi, Y.M.; et al. 3 nm GAA Technology featuring Multi-Bridge-Channel FET for Low Power and High Performance Applications. In Proceedings of the 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 1–5 December 2018; pp. 28.7.1–28.7.4. [Google Scholar]
- Jeong, J.; Lee, S.-H.; Masuoka, S.-A.; Min, S.; Lee, S.; Kim, S.; Myung, T.; Choi, B.; Sohn, C.-W.; Kim, S.W.; et al. World’s First GAA 3nm Foundry platform Technology (SF3) with Novel Multi-Bridge-Channel-FET (MBCFET™) Process. In Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, 11–16 June 2023; pp. 1–2. [Google Scholar]
- Huang, C.-Y.; Dewey, G.; Mannebach, E.; Phan, A.; Morrow, P.; Rachmady, W.; Tung, I.-C.; Thomas, N.; Alaan, U.; Paul, R. 3-D Self-aligned Stacked NMOS-on-PMOS Nanoribbon Transistors for Continued Moore’s Law Scaling. In Proceedings of the 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 12–18 December 2020; pp. 20.6.1–20.6.4. [Google Scholar]
- Mukesh, S.; Zhang, J. A Review of the Gate-All-Around Nanosheet FET Process Opportunities. Electronics 2022, 11, 3589. [Google Scholar] [CrossRef]
- Loubet, N.; Hook, T.; Montanini, P.; Yeung, C.-W.; Kanakasabapathy, S.; Guillom, M.; Yamashita, T.; Zhang, J.; Miao, X.; Wang, J.; et al. Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET. In Proceedings of the 2017 Symposium on VLSI Technology, Kyoto, Japan, 5–8 June 2017; pp. T230–T231. [Google Scholar]
- Bao, R.; Durfee, C.; Zhang, J.; Qin, L.; Rozen, J.; Zhou, H.; Li, J.; Mukesh, S.; Pancharatnam, S.; Zhao, K.; et al. Critical elements for next generation high performance computing nanosheet technology. In Proceedings of the 2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 11–16 December 2021; pp. 26.3.1–26.3.4. [Google Scholar]
- Zhang, J.; Frougier, J.; Greene, A.; Miao, X.; Yu, L.; Vega, R.; Montanini, P.; Durfee, C.; Gaul, A.; Pancharatnam, S.; et al. Full bottom dielectric isolation to enable stacked nanosheet transistor for low power and high performance applications. In Proceedings of the IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019; pp. 11.6.1–11.6.4. [Google Scholar]
- Wang, M.; Zhang, J.; Zhou, H.; Southwick, R.G.; Chao, R.-H.; Miao, X.; Basker, V.S.; Yamashita, T.; Guo, D.; Karve, G.; et al. Bias temperature instability reliability in stacked gate-all-around nanosheet transistor. In Proceedings of the 2019 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 31 March 2019–4 April 2019; pp. 1–6. [Google Scholar]
- Shen, T.; Watanabe, K.; Zhou, H.; Belyansky, M.; Stuckert, E.; Zhang, J.; Greene, A.; Basker, V.; Wang, M. A new technique for evaluating stacked nanosheet inner spacer TDDB reliability. In Proceedings of the 2020 IEEE International Reliability Physics Symposium (IRPS), Dallas, TX, USA, 28 April–30 May 2020; pp. 1–5. [Google Scholar]
- Landon, C.; Jiang, L.; Pantuso, D.; Meric, I.; Komeyli, K.; Hicks, J.; Schroeder, D. Localized thermal effects in Gate-all-around devices. In Proceedings of the 2023 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 26–30 March 2023; pp. 1–5. [Google Scholar]
- Choudhury, N.; Sharma, U.; Zhou, H.; Southwick, R.G.; Wang, M.; Mahapatra, S. Analysis of BTI, SHE Induced BTI and HCD Under Full V G/V D Space in GAA Nano-Sheet N and P FETs. In Proceedings of the 2020 IEEE International Reliability Physics Symposium (IRPS), Dallas, TX, USA, 28 April 2020–30 May 2020; pp. 1–6. [Google Scholar]
- Zhou, H.; Wang, M.; Zhang, J.; Watanabe, K.; Durfee, C.; Mochizuki, S.; Bao, R.; Southwick, R.; Bhuiyan, M.; Veeraraghavan, B. NBTI Impact of Surface Orientation in Stacked Gate-All-Around Nanosheet Transistor. In Proceedings of the 2020 IEEE International Reliability Physics Symposium (IRPS), Dallas, TX, USA, 28 April–30 May 2020; pp. 1–6. [Google Scholar]
- Nicollian, E.H.; Goetzberger, A. The si-sio, interface–electrical properties as determined by the metal-insulator-silicon conductance technique. Bell Syst. Tech. J. 1967, 46, 1033–1055. [Google Scholar] [CrossRef]
- Vogel, E.M.; Henson, W.K.; Richter, C.A.; Suehle, J.S. Limitations of conductance to the measurement of the interface state density of MOS capacitors with tunneling gate dielectrics. IEEE Trans. Electron Devices 2000, 47, 601–608. [Google Scholar] [CrossRef]
- Carter, R.J.; Cartier, E.; Kerber, A.; Pantisano, L.; Schram, T.; De Gendt, S.; Heyns, M. Passivation and interface state density of SiO2/HfO2-based/polycrystalline-Si gate stacks. Appl. Phys. Lett. 2003, 83, 533–535. [Google Scholar] [CrossRef]
- Wang, M. Hot Carrier Reliability in Gate-All-Around Nanosheet Devices. In Proceedings of the 2023 IIRW Reliability Experts Forum: Hot Carrier Degradation, Lake Tahoe, CA, USA, 8–12 October 2023. [Google Scholar]
- Bravaix, A.; Guérin, C.; Huard, V.; Roy, D.; Roux, J.M.; Vincent, E. Hot-Carrier acceleration factors for low power management in DC-AC stressed 40nm NMOS node at high temperature. In Proceedings of the 2009 IEEE Reliability Physics Symposium (IRPS), Montreal, QC, Canada, 26–30 April 2009; pp. 531–548. [Google Scholar]
- Chang, L.; Ieong, M.; Yang, M. CMOS circuit performance enhancement by surface orientation optimization. IEEE Trans. Electron Devices 2004, 51, 1621–1627. [Google Scholar] [CrossRef]
- Chasin, A.; Franco, J.; Kaczer, B.; Putcha, V.; Weckx, P.; Ritzenthaler, R.; Mertens, H.; Horiguchi, N.; Linten, D.; Rzepa, G. BTI reliability and time-dependent variability of stacked gate-all-around Si nanowire transistors. In Proceedings of the 2017 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 2–6 April 2017; pp. 5C-4.1–5C-4.7. [Google Scholar]
- Lim, J.W.; Yoo, C.H.; Kiron, P.; Jeon, J. Self-heating and Corner Rounding Effects on Time Dependent Dielectric Breakdown of Stacked Multi-Nanosheet FETs. IEEE Access 2023, 11, 82208–82215. [Google Scholar] [CrossRef]
- Vandemaele, M.; Kaczer, B.; Tyaginov, S.; Bury, E.; Chasin, A.; Franco, J.; Makarov, A.; Mertens, H.; Hellings, G.; Groeseneken, G. Simulation comparison of hot-carrier degradation in nanowire, nanosheet and forksheet FETs. In Proceedings of the 2022 IEEE International Reliability Physics Symposium (IRPS), Dallas, TX, USA, 27–31 March 2022; pp. 6A.2-1–6A.2-9. [Google Scholar]
- Choudhury, N.; Samadder, T.; Tiwari, R.; Zhou, H.; Southwick, R.G.; Wang, M.; Mahapatra, S. Analysis of sheet dimension (W, L) dependence of NBTI in GAA-SNS FETs. In Proceedings of the 2021 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 21–25 March 2021; pp. 1–8. [Google Scholar]
- Choudhury, N.; Mahapatra, S. A Method to Isolate Intrinsic HCD and NBTI Contributions Under Self Heating During Varying V G/V D Stress in GAA Nanosheet PFETs. IEEE Trans. Electron Devices 2023, 69, 3535–3541. [Google Scholar] [CrossRef]
- Narendar, V.; Mishra, R.A. Analytical modeling and simulation of multigate FinFET devices and the impact of high-k dielectrics on short channel effects (SCEs). Superlattices Microstruct. 2015, 85, 357–369. [Google Scholar] [CrossRef]
- Nagy, D.; Indalecio, G.; Garcia-Loureiro, A.J.; Elmessary, M.A.; Kalna, K.; Seoane, N. FinFET versus gate-all-around nanowire FET: Performance, scaling, and variability. IEEE J. Electron Devices Soc. 2018, 6, 332–340. [Google Scholar] [CrossRef]
- Lee, S.Y.; Yoon, E.J.; Kim, S.M.; Oh, C.W.; Li, M.; Choi, J.D.; Yeo, K.H.; Kim, M.S.; Cho, H.J.; Kim, S.H.; et al. A novel sub-50 nm multi-bridge-channel MOSFET (MBCFET) with extremely high performance. In Digest of Technical Papers. In Proceedings of the 2004 Symposium on VLSI Technology, Honolulu, HI, USA, 15–17 June 2004; pp. 200–201. [Google Scholar]
- Yang, B.; Buddharaju, K.D.; Teo, S.H.G.; Singh, N.; Lo, G.Q.; Kwong, D.L. Vertical silicon-nanowire formation and gate-all-around MOSFET. IEEE Electron. Device Lett. 2008, 29, 791–794. [Google Scholar] [CrossRef]
- Mertens, H.; Ritzenthaler, R.; Hikavyy, A.; Kim, M.S.; Tao, Z.; Wostyn, K.; Chew, S.A.; De Keersgieter, A.; Mannaert, G.; Rosseel, E.; et al. Gate-all-around MOSFETs based on vertically stacked horizontal Si nanowires in a replacement metal gate process on bulk Si substrates. In Proceedings of the 2016 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 14–16 June 2016; pp. 1–2. [Google Scholar]
- Mertens, H.; Ritzenthaler, R.; Chasin, A.; Schram, T.; Kunnen, E.; Hikavyy, A.; Ragnarsson, L.Å.; Dekkers, H.; Hopf, T.; Wostyn, K.; et al. Vertically stacked gate-all-around Si nanowire CMOS transistors with dual work function metal gates. In Proceedings of the 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; pp. 7–19. [Google Scholar]
- Huang, R.; Wang, R.; Li, M. Gate-all-around silicon nanowire transistor technology. In Women in Microelectronics; Springer: Cham, Switzerland, 2020; pp. 89–115. [Google Scholar]
- Weckx, P.; Ryckaert, J.; Litta, E.D.; Yakimets, D.; Matagne, P.; Schuddinck, P.; Jang, D.; Chehab, B.; Baert, R.; Gupta, M.; et al. Novel forksheet device architecture as ultimate logic scaling device towards 2 nm. In Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019; pp. 36.5.1–36.5.4. [Google Scholar]
- Mertens, H.; Ritzenthaler, R.; Oniki, Y.; Briggs, B.; Chan, B.T.; Hikavyy, A.; Hopf, T.; Mannaert, G.; Tao, Z.; Sebaai, F.; et al. Forksheet FETs for advanced CMOS scaling: Forksheet-nanosheet co-integration and dual work function metal gates at 17 nm NP space. In Proceedings of the 2021 Symposium on VLSI Technology, Kyoto, Japan, 13–19 June 2021; pp. 1–2. [Google Scholar]
- Zhao, Y.; Qu, Y. Impact of Self-Heating Effect on Transistor Characterization and Reliability Issues in Sub-10 nm Technology Nodes. IEEE J. Electron Devices Soc. 2019, 7, 829–836. [Google Scholar] [CrossRef]
- Choudhury, N.; Mahapatra, S. Modeling and Analysis of PBTI, and HCD in Presence of Self-Heating in GAA-SNS NFETs. IEEE Trans. Electron Devices 2022, 69, 6576–6581. [Google Scholar] [CrossRef]
- Cai, A.L.; Chen, W.; Du, G.; Kang, J.; Zhang, X.; Liu, X. Investigation of self-heating effect on stacked nanosheet GAA transistors. In Proceedings of the 2018 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA), Hsinchu, Taiwan, 16–19 April 2018; pp. 1–2. [Google Scholar]
- Balasubbareddy, M.; Sivasankaran, K.; Atamuratov, A.E.; Khalilloev, M.M. Optimization of vertically stacked nanosheet FET immune to self-heating. Micro Nanostructures 2023, 182, 207633. [Google Scholar] [CrossRef]
- Kim, S.; Park, H.; Choi, E.; Kim, Y.H.; Kim, D.; Shim, H.; Chung, S.; Jung, P. Reliability Assessment of 3nm GAA Logic Technology Featuring Multi-Bridge-Channel FETs. In Proceedings of the 2023 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 26–30 March 2023; pp. 1–8. [Google Scholar]
- Bury, E.; Kaczer, B.; Linten, D.; Witters, L.; Mertens, H.; Waldron, N.; Zhou, X.; Collaert, N.; Horiguchi, N.; Spessot, A.; et al. Self-heating in FinFET and GAA-NW using Si, Ge and III/V channels. In Proceedings of the 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; pp. 15.6.1–15.6.4. [Google Scholar]
- Ramey, S.; Ashutosh, A.; Auth, C.; Clifford, J.; Hattendorf, M.; Hicks, J.; James, R.; Rahman, A.; Sharma, V.; St Amour, A.; et al. Intrinsic transistor reliability improvements from 22 nm tri-gate technology. In Proceedings of the 2013 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 14–18 April 2013; pp. 4C.5.1–4C.5.5. [Google Scholar]
- Loubet, N.; Kal, S.; Alix, C.; Pancharatnam, S.; Zhou, H.; Durfee, C.; Belyansky, M.; Haller, N.; Watanabe, K.; Devarajan, T.; et al. A novel dry selective etch of SiGe for the enablement of high performance logic stacked gate-all-around nanosheet devices. In Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019; pp. 11.4.1–11.4.4. [Google Scholar]
- Mitani, Y.; Toriumi, A. Re-consideration of influence of silicon wafer surface orientation on gate oxide reliability from TDDB statistics point of view. In Proceedings of the 2010 IEEE International Reliability Physics Symposium, Anaheim, CA, USA, 2–6 May 2010; pp. 299–305. [Google Scholar]
- Zhou, H.; Wang, M.; Bao, R.; Shen, T.; Wu, E.; Southwick, R.; Zhang, J.; Basker, V.; Guo, D. TDDB Reliability in Gate-All-Around Nanosheet. In Proceedings of the 2021 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 21–25 March 2021; pp. 1–6. [Google Scholar]
- Zhou, H.; Wang, M.; Loubet, N.; Gaul, A.; Sulehria, Y. Impact of Gate Stack Thermal Budget on NBTI Reliability in Gate-All-Around Nanosheet P-type Devices. In Proceedings of the 2023 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 26–30 March 2023; pp. 1–6. [Google Scholar]
- Zhou, H.; Wang, M.; Bao, R.; Durfee, C.; Qin, L.; Zhang, J. SiGe Gate-All-around Nanosheet Reliability. In Proceedings of the 2022 IEEE International Reliability Physics Symposium (IRPS), Dallas, TX, USA, 27–31 March 2022; pp. P60-1–P60-4. [Google Scholar]
- Franco, J.; Kaczer, B.; Eneman, G.; Roussel, P.J.; Grasser, T.; Mitard, J.; Ragnarsson, L.Å.; Cho, M.; Witters, L.; Chiarella, T.; et al. Superior NBTI reliability of SiGe channel pMOSFETs: Replacement gate, FinFETs, and impact of body bias. In Proceedings of the 2011 International Electron Devices Meeting, Washington, DC, USA, 5–7 December 2011; pp. 18.5.1–18.5.4. [Google Scholar]
- Srinivasan, P.; Fronheiser, J.; Akarvardar, K.; Kerber, A.; Edge, L.F.; Southwick, R.G.; Cartier, E.; Kothari, H. SiGe composition and thickness effects on NBTI in replacement metal gate/high-κ technologies. In Proceedings of the 2014 IEEE International Reliability Physics Symposium, Waikoloa, HI, USA, 1–5 June 2014; pp. 6A.3.1–6A.3.6. [Google Scholar]
- Wu, W.; Liu, C.; Sun, J.; Yu, W.; Wang, X.; Shi, Y.; Zhao, Y. Experimental study on NBTI degradation behaviors in Si pMOSFETs under compressive and tensile strains. IEEE Electron. Device Lett. 2014, 35, 714–716. [Google Scholar]
- Parihar, N.; Tarun, S.; Mahapatra, S. BAT Framework Modeling of Gate First HKMG Si-Capped SiGe Channel MOSFETs. In Recent Advances in PMOS Negative Bias Temperature Instability: Characterization and Modeling of Device Architecture, Material and Process Impact; Springer: Singapore, 2022; pp. 151–171. [Google Scholar]
- Huard, V.; Ndiaye, C.; Arabi, M.; Parihar, N.; Federspiel, X.; Mhira, S.; Mahapatra, S.; Bravaix, A. Key parameters driving transistor degradation in advanced strained SiGe channels. In Proceedings of the 2018 IEEE International Reliability Physics Symposium (IRPS), Burlingame, CA, USA, 11–15 March 2018; pp. P-TX.4-1–P-TX.4-6. [Google Scholar]
- Waltl, M.; Rzepa, G.; Grill, A.; Goes, W.; Franco, J.; Kaczer, B.; Witters, L.; Mitard, J.; Horiguchi, N.; Grasser, T. Superior NBTI in High- k SiGe Transistors–Part I: Experimental. IEEE Trans. Electron Devices 2017, 64, 2092–2098. [Google Scholar] [CrossRef]
- Waltl, M.; Rzepa, G.; Grill, A.; Goes, W.; Franco, J.; Kaczer, B.; Witters, L.; Mitard, J.; Horiguchi, N.; Grasser, T. Superior NBTI in high-k SiGe transistors–part II: Theory. IEEE Trans. Electron Devices 2017, 64, 2099–2105. [Google Scholar] [CrossRef]
- Alexander, A.; La Rosa, G.; Sun, Y.C. A review of hot-carrier degradation mechanisms in MOSFETs. Microelectron. Reliab. 1996, 36, 845–869. [Google Scholar]
- Tyaginov, S.E.; Starkov, I.A.; Triebl, O.; Cervenka, J.; Jungemann, C.; Carniello, S.; Park, J.M.; Enichlmair, H.; Karner, M.; Kernstock, C.; et al. Interface traps density-of-states as a vital component for hot-carrier degradation modeling. Microelectron. Reliab. 2010, 50, 1267–1272. [Google Scholar] [CrossRef]
- Tyaginov, S.; Jech, M.; Franco, J.; Sharma, P.; Kaczer, B.; Grasser, T. Understanding and modeling the temperature behavior of hot-carrier degradation in SiON nMOSFETs. IEEE Electron. Device Lett. 2015, 37, 84–87. [Google Scholar] [CrossRef]
- Makarov, A.; Tyaginov, S.E.; Kaczer, B.; Jech, M.; Chasin, A.; Grill, A.; Hellings, G.; Vexler, M.I.; Linten, D.; Grasser, T. Hot-carrier degradation in FinFETs: Modeling, peculiarities, and impact of device topology. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 13.1.1–13.1.4. [Google Scholar]
- Yu, Z.; Zhang, J.; Wang, R.; Guo, S.; Liu, C.; Huang, R. New insights into the hot carrier degradation (HCD) in FinFET: New observations, unified compact model, and impacts on circuit reliability. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 7.2.1–7.2.4. [Google Scholar]
- Souvik, M.; Sharma, U. A review of hot carrier degradation in n-channel MOSFETs—Part I: Physical mechanism. IEEE Trans. Electron Devices 2020, 67, 2660–2671. [Google Scholar]
- Wang, R.; Sun, Z.; Liu, Y.Y.; Yu, Z.; Wang, Z.; Jiang, X.; Huang, R. Hot carrier reliability in FinFET technology from trap-based approach. In Proceedings of the 2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 11–16 December 2021; pp. 31.2.1–31.2.4. [Google Scholar]
- Ding, Y.; Chu, Y.; Qu, Y.; Zhao, Y. Re-examination of hot carrier degradation mechanism in ultra-scaled nFinFETs. IEEE Electron. Device Lett. 2022, 43, 1802–1805. [Google Scholar] [CrossRef]
- Lee, K.; Kaczer, B.; Kruv, A.; Gonzalez, M.; Degraeve, R.; Tyaginov, S.; Grill, A.; De Wolf, I. Hot-electron-induced punch-through (HEIP) effect in p-MOSFET enhanced by mechanical stress. IEEE Electron. Device Lett. 2021, 42, 1424–1427. [Google Scholar] [CrossRef]
- Rauch, S.E.; Guarin, F.; Rosa, L.G. High-VGS PFET DC Hot-Carrier Mechanism and Its Relation to AC Degradation. IEEE Trans. Device Mater. Reliab. 2009, 10, 40–46. [Google Scholar] [CrossRef]
- Masashi, M.; Hiroki, A. Analysis of Quantum Confinement in Nanosheet FETs by Using a Quantum Drift Diffusion Model. IEEJ Trans. Electron. Inf. Syst. 2022, 142, 1174–1179. [Google Scholar]
- Peng, B.; Jiao, Y.; Zhong, H.; Rong, Z.; Wang, Z.; Xiao, Y.; Wong, W.; Zhang, L.; Wang, R.; Huang, R. Compact modeling of quantum confinements in nanoscale gate all-around MOSFETs. Fundam. Res. 2023. [Google Scholar] [CrossRef]
- Monishmurali, M.; Mayank, S. Peculiar current instabilities & failure mechanism in vertically stacked nanosheet ggN-FET. In Proceedings of the 2021 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 21–25 March 2021; pp. 1–5. [Google Scholar]
Mechanisms | PBTI | NBTI | HCI | SHE | Gox TDDB | MOL TDDB |
---|---|---|---|---|---|---|
Surface orientation | NIE * | Yes | Yes | NIE * | Yes | NIE * |
(100) BT. (110)? | [10] | [10,14] | [18] | [43] | ||
Tsi: 9 nm and below | Yes | Yes | Yes | Worse | Yes | NR ** |
Thicker BT. Thinner? | [10] | [10] | [18,23] | [38] | [22] | |
Wsheet | NIE * | Yes | Worse | Worse | Yes *** | NR ** |
Wider BT. Narrow? | [10,14] | [12,18,24] | [12,25,36] | [10,43] |
Mechanisms | References | Temp | VAE from Power Law Fit | Time Exponent (n) | Activation Energy (Ea) |
---|---|---|---|---|---|
PBTI | [10] | 125 °C | ~7.4 | ~0.20 | 0.105 eV |
[36] | 25~125 °C | 8.61~10.18 | - | - | |
NBTI | [10] | 125 °C | ~5.5 | ~0.25 | 0.18 eV |
[14] | ~5.52 for (100) | 0.15 eV for (100) | |||
~4.40 for (110) | 0.13 eV for (110) | ||||
nFET Mid-Vg HCI | [18] | 25 °C | ~13.2 * | 0.25~0.4 | 0.07 eV |
nFET High-Vg HCI | [18] | 25 °C | ~10.3 * | 0.07 eV | |
pFET Mid-Vg HCI | [18] | 25 °C | ~8.8 * | - | - |
pFET High-Vg HCI | [18] | 25 °C | ~11.6 * | - | 0.17 eV |
Mechanisms | Dipole Process | References | Temp. | VAE | β | Activation Energy (Ea) |
---|---|---|---|---|---|---|
nFET Gox TDDB | no Dipole | [44] | 125 °C | 57 | 1.3 | 0.81 eV |
nFET Gox TDDB | p-Dipole | [44] | 125 °C | 57 | 1.2 | 0.70 eV |
nFET Gox TDDB | n-Dipole | [44] | 125 °C | 62 | 1.8 | 0.64 eV |
pFET Gox TDDB | no Dipole | [44] | 125 °C | 44 | 1.3 | 0.55 eV |
pFET Gox TDDB | p-Dipole | [44] | 125 °C | 51 | 1.1 | 0.59 eV |
pFET Gox TDDB | n-Dipole | [44] | 125 °C | 45 | 1.1 | 0.83 eV |
Inner spacer TDDB | [11] | 25 °C (RVS) | 52 | 0.6 | 0.54 eV | |
125 °C (RVS) | 31 | 0.8 | ||||
125 °C (CVS) | 32.4 | 0.57 |
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content. |
© 2024 by the author. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (https://creativecommons.org/licenses/by/4.0/).
Share and Cite
Wang, M. A Review of Reliability in Gate-All-Around Nanosheet Devices. Micromachines 2024, 15, 269. https://doi.org/10.3390/mi15020269
Wang M. A Review of Reliability in Gate-All-Around Nanosheet Devices. Micromachines. 2024; 15(2):269. https://doi.org/10.3390/mi15020269
Chicago/Turabian StyleWang, Miaomiao. 2024. "A Review of Reliability in Gate-All-Around Nanosheet Devices" Micromachines 15, no. 2: 269. https://doi.org/10.3390/mi15020269
APA StyleWang, M. (2024). A Review of Reliability in Gate-All-Around Nanosheet Devices. Micromachines, 15(2), 269. https://doi.org/10.3390/mi15020269