Selective Code Duplication for Soft Error Protection on VLIW Architectures
Abstract
:1. Introduction
2. Related Works
3. Our Approach
3.1. Enhanced VLIW Architecture for Error Protection
3.2. Selective Code Duplication with Comparable Fault Coverage
3.3. Profiling-Based Algorithm for Packing-Oriented Duplication
Algorithm 1 Profiling-based algorithm to predict the number of duplicable instructions |
|
4. Experiments
4.1. Experimental Setup
4.2. Experimental Results
5. Conclusions
Author Contributions
Funding
Conflicts of Interest
References
- Narayanan, V.; Xie, Y. Reliability concerns in embedded system designs. IEEE Comput. 2006, 39, 118–120. [Google Scholar] [CrossRef]
- Batagin Armelin, F.; Alves de Barros Naviner, L.; d’Amore, R. Soft-Error Vulnerability Estimation Approach Based on the SET Susceptibility of Each Gate. Electronics 2019, 8, 749. [Google Scholar] [CrossRef] [Green Version]
- Chen, R.; Chen, L.; Han, J.; Wang, X.; Liang, Y.; Ma, Y.; Shangguan, S. Comparative Study on the “Soft Errors” Induced by Single-Event Effect and Space Electrostatic Discharge. Electronics 2021, 10, 802. [Google Scholar] [CrossRef]
- Yang, N.; Wang, Y. F_Radish: Enhancing Silent Data Corruption Detection for Aerospace-Based Computing. Electronics 2021, 10, 61. [Google Scholar] [CrossRef]
- May, T.; Woods, M.H. Alpha-particle-induced soft errors in dynamic memories. Electron Devices IEEE Trans. 1979, 26, 2–9. [Google Scholar] [CrossRef]
- Dixit, A.; Wood, A. The impact of new technology on soft error rates. In Proceedings of the 2011 International Reliability Physics Symposium, Monterey, CA, USA, 10–14 April 2011. [Google Scholar]
- Kang, J.; Ko, Y.; Lee, J.; Kim, Y.; So, H.; Lee, K.; Paek, Y. Selective validations for efficient protections on Coarse-Grained Reconfigurable Architectures. In Proceedings of the International Conference on Application-Specific Systems, Architectures and Processors, Washington, DC, USA, 5–7 June 2013; pp. 95–98. [Google Scholar]
- Hatefinasab, S.; Rodriguez, N.; García, A.; Castillo, E. Low-Cost Soft Error Robust Hardened D-Latch for CMOS Technology Circuit. Electronics 2021, 10, 1256. [Google Scholar] [CrossRef]
- Elias, P. Error-Free Coding. ACM Trans. IRE Prof. Group Inf. Theory 1954, 4, 29–37. [Google Scholar] [CrossRef]
- Lyons, R.; Vanderkulk, W. The Use of Triple-Modular Redundancy to Improve Computer Reliability. IBM J. Res. Dev. 1962, 6, 200–209. [Google Scholar] [CrossRef] [Green Version]
- Fisher, J.A. Very Long Instruction Word Architectures and the ELI-512. In Proceedings of the International Symposium on Computer Architecture, Stockholm, Sweden, 13–17 June 1983. [Google Scholar]
- Fisher, J.; Faraboschi, P.; Young, C. VLIW processors: Once blue sky, now commonplace. Solid-State Circuits Mag. IEEE 2009, 1, 10–17. [Google Scholar] [CrossRef]
- Gonzalez, R.E. Xtensa: A configurable and extensible processor. IEEE Micro 2000, 20, 60–70. [Google Scholar] [CrossRef] [Green Version]
- Yang, X.; He, H. An Advanced Compiler Designed for a VLIW DSP for Sensors-Based Systems. Sensors 2012, 12, 4466–4478. [Google Scholar] [CrossRef] [PubMed]
- Przybył, A. Fixed-Point Arithmetic Unit with a Scaling Mechanism for FPGA-Based Embedded Systems. Electronics 2021, 10, 1164. [Google Scholar] [CrossRef]
- Li, G.; Hou, Y.; Zhu, J. An Efficient and Fast VLIW Compression Scheme for Stream Processor. IEEE Access 2020, 8, 224817–224824. [Google Scholar] [CrossRef]
- Sánchez, J.; González, A. Modulo Scheduling for a Fully-Distributed Clustered VLIW Architecture. In Proceedings of the ACM/IEEE International Symposium on Microarchitecture, New York, NY, USA, 10–13 December 2000; pp. 124–133. [Google Scholar]
- Sabena, D.; Reorda, M.S.; Sterpone, L. Soft error effects analysis and mitigation in VLIW safety-critical applications. In Proceedings of the International Conference on Very Large Scale Integration, Playa del Carmen, Mexico, 6–8 October 2014; pp. 1–6. [Google Scholar]
- Hu, J.S.; Li, F.; Degalahal, V.; Kandemir, M.; Vijaykrishnan, N.; Irwin, M.J. Compiler-Directed Instruction Duplication for Soft Error Detection. In Conference on Design, Automation and Test in Europe; IEEE Computer Society: Washington, DC, USA, 2005; pp. 1056–1057. [Google Scholar]
- Lee, J.; Ko, Y.; Lee, K.; Youn, J.M.; Paek, Y. Dynamic Code Duplication with Vulnerability Awareness for Soft Error Detection on VLIW Architectures. ACM Trans. Archit. Code Optim. 2013, 9, 48:1–48:24. [Google Scholar] [CrossRef]
- Srinivasan, G. Modeling the cosmic-ray-induced soft-error rate in integrated circuits: An overview. IBM J. Res. Dev. 1996, 40, 77–89. [Google Scholar] [CrossRef]
- Mukherjee, S.S.; Emer, J.; Reinhardt, S.K. The soft error problem: An architectural perspective. In Proceedings of the International Symposium on High-Performance Computer Architecture, San Francisco, CA, USA, 12–16 February 2005; pp. 243–247. [Google Scholar]
- Narasimham, B.; Chaudhary, V.; Smith, M.; Tsau, L.; Ball, D.; Bhuva, B. Scaling Trends in the Soft Error Rate of SRAMs from Planar to 5-nm FinFET. In Proceedings of the 2021 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 21–25 March 2021; pp. 1–5. [Google Scholar]
- Mitra, S.; Karnik, T.; Seifert, N.; Zhang, M. Logic Soft Errors in Sub-65Nm Technologies Design and CAD Challenges. In Design Automation Conference; ACM: New York, NY, USA, 2005; pp. 2–4. [Google Scholar]
- Ziv, A.; Bruck, J. Performance optimization of checkpointing schemes with task duplication. Comput. IEEE Trans. 1997, 46, 1381–1386. [Google Scholar] [CrossRef]
- Schölzel, M. Reduced Triple Modular redundancy for built-in self-repair in VLIW-processors. In Proceedings of the Signal Processing Algorithms, Architectures, Arrangements, and Applications, Poznan, Poland, 7 September 2007; pp. 21–26. [Google Scholar]
- Hyman, R.; Bhattacharya, K.; Ranganathan, N. Redundancy Mining for Soft Error Detection in Multicore Processors. Comput. IEEE Trans. 2011, 60, 1114–1125. [Google Scholar] [CrossRef]
- Oh, N.; Shirvani, P.; McCluskey, E. Error detection by duplicated instructions in super-scalar processors. Reliab. IEEE Trans. 2002, 51, 63–75. [Google Scholar] [CrossRef] [Green Version]
- Holm, J.G.; Banerjee, P. Low Cost Concurrent Error Detection in a VLIW Architecture Using Replicated Instructions. In Proceedings of the International Conference on Parallel Processing, An Arbor, MI, USA, 17–21 August 1992. [Google Scholar]
- Bolchini, C. A software methodology for detecting hardware faults in VLIW data paths. Reliab. IEEE Trans. 2003, 52, 458–468. [Google Scholar] [CrossRef]
- Sartor, A.L.; Lorenzon, A.F.; Kundu, S.; Koren, I.; Beck, A.C.S. Adaptive and Polymorphic VLIW Processor to Optimize Fault Tolerance, Energy Consumption, and Performance. In Proceedings of the 15th ACM International Conference on Computing Frontiers, Ischia, Italy, 8–10 May 2018; Association for Computing Machinery: New York, NY, USA, 2018; pp. 54–61. [Google Scholar] [CrossRef]
- Zivojnovic, V.; Velarde, J.M.; Schlager, C.; Meyr, H. DSPstone: A DSP-oriented benchmarking methodology. In Proceedings of the International Conference on Signal Processing Applications and Technology, Dallas, TX, USA, 18–21 October 1994; pp. 715–720. [Google Scholar]
- Muchnick, S. Advanced Compiler Design Implementation; Morgan Kaufmann: Burlington, MA, USA, 1997. [Google Scholar]
- Ahn, M.; Paek, Y. Fast code generation for embedded processors with aliased heterogeneous registers. In Transactions on High-Performance Embedded Architectures and Compilers II; Springer: Berlin/Heidelberg, Germany, 2009; pp. 149–172. [Google Scholar]
- Design Compiler Reference Manual; Synopsys Inc.: Mountain View, CA, USA, 2001.
- Technologies, M. MIPS32 Architecture for Programmers Volume IV-a: The MIPS16 Application Specific Extension to the MIPS32 Architecture; MIPS Technologies: Sunnyvale, CA, USA, 2001; pp. 758–763. [Google Scholar]
Type | Original | Packing-Oriented Duplication |
---|---|---|
Combinational area | 426,636 m | 437,481 m |
Non-combinational area | 136,998 m | 144,327 m |
Total | 563,633 m | 581,808 m |
Benchmarks | Maximum Difference |
---|---|
complex_multiply | 4% |
complex_update | 12% |
convolution | 18% |
dot_product | 14% |
fir | 6% |
fir2dim | 3% |
iir_biquad_one_section | 13% |
lms | 8% |
matrix | 3% |
Average | 9% |
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations. |
© 2021 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (https://creativecommons.org/licenses/by/4.0/).
Share and Cite
Ko, Y.; Kim, S.; Kim, H.; Lee, K. Selective Code Duplication for Soft Error Protection on VLIW Architectures. Electronics 2021, 10, 1835. https://doi.org/10.3390/electronics10151835
Ko Y, Kim S, Kim H, Lee K. Selective Code Duplication for Soft Error Protection on VLIW Architectures. Electronics. 2021; 10(15):1835. https://doi.org/10.3390/electronics10151835
Chicago/Turabian StyleKo, Yohan, Soohwan Kim, Hyunchoong Kim, and Kyoungwoo Lee. 2021. "Selective Code Duplication for Soft Error Protection on VLIW Architectures" Electronics 10, no. 15: 1835. https://doi.org/10.3390/electronics10151835
APA StyleKo, Y., Kim, S., Kim, H., & Lee, K. (2021). Selective Code Duplication for Soft Error Protection on VLIW Architectures. Electronics, 10(15), 1835. https://doi.org/10.3390/electronics10151835